查看: 1127|回复: 13
打印 上一主题 下一主题

FPGA内部产生复位信号可以吗

[复制链接] qrcode

1

主题

3

帖子

9

积分

新手上路

Rank: 1

积分
9
楼主
跳转到指定楼层
发表于 2015-3-26 07:17 AM | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
reg[15:0] count;
always@(posedge clk)
begin
if(count == 16'd65550)
count <= count;
else
count <= count + 1'b1;
end
//---------------------------------------------
always@(posedge clk)
begin
if(count < 16'd65550)
rst_n <= 1'b0;
else
rst_n <= 1'b1;
end
由于所使用的板子没有外部复位按键,想通过如上方式产生一个开始是低电平0,随后一直是高电平1的信号,但是使用modelsim仿真发现信号一直是高电平1,这是怎么回事呢?很着急啊 悬赏价格
5E币
未解决
回复

使用道具 举报

66

主题

492

帖子

924

积分

高级会员

Rank: 4

积分
924
沙发
发表于 2015-3-28 05:44 AM | 只看该作者
reg[15:0] count = 16'h0;                                                                                                                                                                       
回复 支持 反对

使用道具 举报

1

主题

3

帖子

9

积分

新手上路

Rank: 1

积分
9
板凳
 楼主| 发表于 2015-3-29 04:54 AM | 只看该作者
可以                                                                                                                                                                       
回复 支持 反对

使用道具 举报

0

主题

4

帖子

10

积分

新手上路

Rank: 1

积分
10
地板
发表于 2015-3-31 09:39 AM | 只看该作者
硬件描述语言中给变reg型数据赋初始值没有实际作用吧!                                                                                                                                                                       
回复 支持 反对

使用道具 举报

0

主题

8

帖子

20

积分

新手上路

Rank: 1

积分
20
5#
发表于 2015-4-17 08:58 AM | 只看该作者
那应该如何对这样的模块进行描述呢?                                                                                                                                                                       
回复 支持 反对

使用道具 举报

0

主题

3

帖子

8

积分

新手上路

Rank: 1

积分
8
6#
发表于 2015-4-21 09:17 AM | 只看该作者
硬件描述语言中给变reg型数据赋初始值没有实际作用吧!                                                                                                                                                                       
回复 支持 反对

使用道具 举报

0

主题

8

帖子

20

积分

新手上路

Rank: 1

积分
20
7#
发表于 2016-3-1 02:25 AM | 只看该作者
你可以使用PLL产生复位时钟,通过计数器来做,终归不是太好                                                                                                                                                                       
回复 支持 反对

使用道具 举报

0

主题

8

帖子

20

积分

新手上路

Rank: 1

积分
20
8#
发表于 2016-3-1 04:07 AM | 只看该作者
counter没有初始值,fpga基本不支持内部生成reset                                                                                                                                                                       
回复 支持 反对

使用道具 举报

0

主题

8

帖子

20

积分

新手上路

Rank: 1

积分
20
9#
发表于 2016-3-1 06:01 AM | 只看该作者
count没有赋初值                                                                                                                                                                       
回复 支持 反对

使用道具 举报

0

主题

2

帖子

6

积分

新手上路

Rank: 1

积分
6
10#
发表于 2016-3-1 08:28 AM | 只看该作者
看这么长时间没有人回答,我就回答下吧。首先,fpga得结构基本就不支持内部复位,这是fpga结构决定的。复位信号是个全局信号,如果有内部复位,需要对fpga进行模块划分,划分成外部复位模块和内部复位模块,这极大的增加了fpga结构的难度,而且fpga综合工具如何来支持内部复位也是个头疼的问题,所以基本没有支持内部复位的fpga。你的问题我觉得是你对你们的fpga系统不熟悉。你要仔细看看你们的fpga系统的手册,第一有没有外部复位,第二是不是系统上电后延时一段时间,内部的pll或其它模块产生复位信号,这时fpga才真正进入工作模式                                                                                                                                                                       
回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

快速回复 返回顶部 返回列表