最新  | 热门  | 热帖  | 精华  | 新窗
筛选: 全部主题 全部时间 排序: 查看
新窗 更多 
作者 回复/查看 最后发表
【语言程序】《FPGA系统设计光盘资料》的第8章程序部分 attach_img  ...2
楼主: wangxing2015-10-17 08:39 AM| 最后发表: angleboy 2015-12-25 08:56 AM 18 1008
【开发板】一款CPLD开发板的实验教程 attach_img  ...23
楼主: wangxing2015-10-6 08:54 AM| 最后发表: apingzai 2015-12-10 07:21 AM 28 971
新版SF-NIOS2 FPGA开发板订购与DIY活动 attach_img  ...2
楼主: huangna2015-12-1 01:29 AM| 最后发表: czdo2008 2015-12-21 10:26 AM 10 969
【版主上任公告】可编程器件版主 jlx_cuc 即日上任! attach_img  ...2
楼主: EDNChina2015-8-30 11:20 AM| 最后发表: jlx_cuc 2015-12-24 06:56 PM 13 963
EDK实验教程中文翻译(二) attach_img  ...23
楼主: liwenqi2015-7-21 10:39 AM| 最后发表: kangrx 2015-12-19 12:39 AM 24 947
【设计求助】正弦波信号发生器的设计与实现 attach_img  ...23
楼主: gunuo05112015-4-18 09:21 AM| 最后发表: 初学乍到 2015-12-3 08:31 AM 25 943
Verilog_HDL_华为入门教程 新人帖 attachment  ...2
楼主: 夜雨歌声2015-6-17 11:04 AM| 最后发表: aguang963 2015-12-24 10:12 AM 19 926
【软件求助】quartus里绕线是什么意思啊? attach_img  ...2
楼主: lc198409282015-12-16 03:08 AM| 最后发表: 1229169001036 2015-12-24 01:50 PM 10 910
fpga经验谈(西安大唐电信) attachment  ...2
楼主: chenghuitong2015-12-13 02:50 AM| 最后发表: bengfa6 2015-12-28 11:08 AM 16 909
东南大学CPLD/FPGA实验指导书,初学者可用 新人帖 attach_img  ...23
楼主: decodermulti2015-5-16 03:01 AM| 最后发表: duqiheng861110 2015-12-15 01:16 AM 26 893
【开发求助】关于ads7841的程序 新人帖 attach_img  ...2
楼主: 不想辛苦一辈子2015-8-2 11:57 AM| 最后发表: awaikening 2015-11-10 03:25 AM 19 892
【技术知识】LabVIEW FPGA视频技术指南 新人帖 attachment  ...2
楼主: zhenshijinsheng2015-12-24 10:56 AM| 最后发表: pp4203214 2015-12-26 08:31 AM 16 889
【名词求助】时钟漂移  ...2
楼主: jenwi2006-12-19 01:37 AM| 最后发表: peter 2015-12-23 12:07 AM 15 889
硬件语言VHDL和VerilogHDL 新人帖  ...23
楼主: ygm1232015-6-18 03:54 AM| 最后发表: sdjntl 2015-12-2 11:13 AM 25 875
【开发求助】笔记本没有并口,买了usb转并口线与xilinx paraller 3配合使用,可是总连接不上,请帮忙 新人帖  ...2
楼主: xinjingzhiyuan2015-12-7 02:27 AM| 最后发表: armtt6 2015-12-26 09:53 AM 13 866
【技术技巧】FPGA工程师面试试题集锦 新人帖 attachment  ...2
楼主: kuul2015-9-12 11:50 AM| 最后发表: meng199908 2015-12-25 11:17 PM 14 844
MIL-STD-1553B协议(中文版)下载 新人帖  ...23
楼主: 555552015-3-18 02:28 AM| 最后发表: dfbbtao 2015-12-1 08:12 AM 21 828
【书籍推荐】通信行业宝书——杨小牛《软件无线电》  ...2
楼主: jlx_cuc2015-11-22 08:40 AM| 最后发表: bookworm 2015-12-21 08:40 AM 11 812
【初学求助】刚开始用FPGA,需要一个键盘扫描程序和一个数码显示程序[求助!] 新人帖 attachment  ...2
楼主: 学而忧2015-11-13 10:57 AM| 最后发表: vipkaifeng 2015-12-19 10:58 AM 18 812
【RAM开发】使用VHDL语言描述RAM的案例 attach_img
楼主: wangxing2015-10-15 03:52 AM| 最后发表: lin65505578 2015-11-26 10:07 AM 7 812
下一页 »
还可输入 80 个字符
您需要登录后才可以发帖 登录 | 立即注册

本版积分规则

精彩推荐
[活动进行时] 跟帖申请ADI免费奖品:样片+

ADI公司在论坛举办样片和参考设计CD以及放大器指南书籍的免费发放,发放要求: 1. 在 ……

点击参与
图文资讯
花1万元的PCB设计高级讲座

确实名不虚传! ......

[活动进行时] 跟帖申请ADI免费奖品:样片+

ADI公司在论坛举办样片和参考设计CD以及放大器指南书 ......

资料:需要数字电路和模拟电路PPT文档的请给

有需要数字电路和模拟电路PPT文档的请给我留言,我回 ......

PIC 单片机串口下载线制作protel _sch原理

本人收集到的PIC串口下载线制作原理图,简单好使呵呵 ......

PCB(200多张投影片)很棒的

不好意思,以前传成office2007格式了,现在我已经改过 ......

活跃用户
admin

发帖数:284

电子蚂蚁

发帖数:0

admin

发帖数:284

电子蚂蚁

发帖数:0

dgaitymq120379

发帖数:10

实时资讯
花1万元的PCB设计高级

确实名不虚传! ...

马上查看
[活动进行时] 跟帖申

ADI公司在论坛举办样 ...

马上查看
资料:需要数字电路和

有需要数字电路和模拟 ...

马上查看
PIC 单片机串口下载线

本人收集到的PIC串口 ...

马上查看
PCB(200多张投影片)

不好意思,以前传成of ...

马上查看
返回顶部 返回版块