查看: 398|回复: 2
打印 上一主题 下一主题

【语言求助】请教VHDL程序高手

[复制链接] qrcode

9

主题

15

帖子

41

积分

新手上路

Rank: 1

积分
41
楼主
跳转到指定楼层
发表于 2015-12-26 01:20 AM | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
今天看到一个事例程序挺不错,但其中有一点我不明白啊,想请高手指点一下啊,感激不尽!!
entity clock is
Port ( seg : out std_logic_vector(7 downto 0);
a : out std_logic_vector(3 downto 0);--用于选通三极管
clk : in std_logic);
end clock;
architecture Behavioral of clock is
signal divcounter: std_logic_vector(27 downto 0);
signal divclk:std_logic;
signal sec_counter1:std_logic_vector(3 downto 0);
signal sec_counter2:std_logic_vector(3 downto 0);
signal min_counter1:std_logic_vector(3 downto 0);
signal min_counter2:std_logic_vector(3 downto 0);

signal scan : std_logic_vector(8 downto 0);
signal scan_clk: std_logic_vector(1 downto 0);
signal SecSeg1,MinSeg1,SecSeg2,MinSeg2 : std_logic_vector(7 downto 0);
begin
--将原始时钟信号分频得到1s为周期的时钟信号divclk
DIV_CLOCK:process(clk)
begin
if clk='1' and clk'event then
if(divcounter>=X"17D783F")then
divcounter<=X"0000000";
divclk<=not divclk;
else
divcounter<=divcounter+'1';
end if;
end if;
end process;
这是程序的一段,其中的 if(divcounter>=X"17D783F")then
divcounter<=X"0000000";

这里为什么还带个X啊?是不是表示十六进制啊?我是新手,问题可能有点傻,不要见怪啊,嘿嘿,谢谢了啊!!
回复

使用道具 举报

9

主题

15

帖子

41

积分

新手上路

Rank: 1

积分
41
沙发
 楼主| 发表于 2015-12-27 06:04 AM | 只看该作者
X表示十六进制。                                                                                                                                                                       
回复 支持 反对

使用道具 举报

9

主题

15

帖子

41

积分

新手上路

Rank: 1

积分
41
板凳
 楼主| 发表于 2015-12-27 07:01 AM | 只看该作者

谢谢兄弟!我是刚入门的,非常高兴你的回帖!

回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

快速回复 返回顶部 返回列表