烽火社区

标题: 【程序求助】在QUARTUS中怎么使用VERILOG中的测试程序 [打印本页]

作者: 天马飞飞    时间: 2015-9-16 11:16 AM
标题: 【程序求助】在QUARTUS中怎么使用VERILOG中的测试程序
哪位大哥知道在在QUARTUS中怎么使用VERILOG中的测试程序,我写出来了,但是不知道该怎么运行?                                                                                                                                                                       
作者: 泥泞中的老虎    时间: 2015-10-24 12:52 AM
能不能看看啊!                                                                                                                                                                       
作者: asdfasdfasdfas    时间: 2015-12-25 05:56 AM
一般就用ModelSim运行测试台,Testbench在QuatusII里不能综合,一般仿真OK了后再把设计(测试的对象)放到QUATUSII里去综合布局布线...

我也是新手,不知理解的对不对,请高手指点。
作者: 未来不是梦001    时间: 2015-12-25 07:58 AM
你说的不错,testbench里面的语法有的不能用Quartus编译!                                                                                                                                                                       
作者: echo2005    时间: 2015-12-25 09:38 AM
Q2支持生成WAVE文件来仿真,但功能很弱,所以如果工程比较复杂的话最好使用MODELSIM之类的。另外testbench里面的语法,产生的只是激励文件,只能用于仿真。                                                                                                                                                                       




欢迎光临 烽火社区 (http://bbs.cnecport.com/) Powered by Discuz! X3.2