查看: 264|回复: 5
打印 上一主题 下一主题

【求助】波形发生器芯片

[复制链接] qrcode

9

主题

46

帖子

105

积分

注册会员

Rank: 2

积分
105
楼主
跳转到指定楼层
发表于 2013-1-14 02:43 AM | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
新产品的设计中需要用到波形发生器电路,虽然用运放搭建了一个方波、三角波发生器电路,但是出于对电路性能等参数的要求(所搭的电路会受到电阻、电容以及运放本身的性能参数限制),想要用专门的波形发生器芯片代替,网上找了两款芯片ICL8038和MAX038,但是似乎都停产了,在新产品设计中不推荐使用,不知道还有没有其他专门的波形发生器芯片,不知道那位大侠能提供点技术支持,也不知道ADI上有没有类似的芯片。不胜感激                                                                                                                                                                       
回复

使用道具 举报

1

主题

627

帖子

1259

积分

金牌会员

Rank: 6Rank: 6

积分
1259
沙发
发表于 2013-1-14 05:21 AM | 只看该作者
可以访问RS中国网站搜寻IC, 波形发生器找找有没有适合滴                                                                                                                                                                       
回复 支持 反对

使用道具 举报

9

主题

46

帖子

105

积分

注册会员

Rank: 2

积分
105
板凳
 楼主| 发表于 2013-1-18 08:51 AM | 只看该作者
ADI对任意波形发生器提供领先的信号处理IC解决方案哦http://t.cn/zjdQSSz,而且为了让大家轻松应对设计挑战,ADI还提供大量设计资源,大家可以集中对设计进行快速、轻松的选择、优化和评估                                                                                                                                                                       
回复 支持 反对

使用道具 举报

9

主题

46

帖子

105

积分

注册会员

Rank: 2

积分
105
地板
 楼主| 发表于 2013-1-18 03:45 AM | 只看该作者
可用DDS芯片,如AD9833,AD9834,AD9837,AD9838可直接产生三角波,方波,正弦波。如果需要频率更高、波形更复杂,可选择AD9106和AD9102                                                                                                                                                                       
回复 支持 反对

使用道具 举报

0

主题

1

帖子

4

积分

新手上路

Rank: 1

积分
4
5#
发表于 2013-1-18 05:55 AM | 只看该作者
用FPGA设计任意形状的波形发生器十分容易,当然还需要DA转换,才能将波形数据变成模拟信号输出。                                                                                                                                                                       
回复 支持 反对

使用道具 举报

1

主题

627

帖子

1259

积分

金牌会员

Rank: 6Rank: 6

积分
1259
6#
发表于 2013-1-18 08:09 AM | 只看该作者
以下是引用深蓝棋手在2013-1-18 上午8:51的发言

主题:用FPGA设计任意形状的波形发生器十分容易,当然还需要DA转换,才能将波形数据变成模拟信号输出。

FPGA 来说太麻烦,对我的系统成本不合算,还是用较为典型的方波、三角波产生电路最为简单
回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

快速回复 返回顶部 返回列表