查看: 273|回复: 2
打印 上一主题 下一主题

verilog分模块,其中一个子模块有一千个端口,如何后仿...

[复制链接] qrcode

1

主题

10

帖子

25

积分

新手上路

Rank: 1

积分
25
楼主
跳转到指定楼层
发表于 2015-12-22 11:35 AM | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
verilog设计FPGA项目,按模块设计,子模块由一个是总线功能模块,就是根据输入数据和输入的不同地址,选择到不同的寄存器中,共有100多个寄存器,乘上寄存器的位数,寄存器共有一千多位。因为这些寄存器是要给其他模块连接使用的,所以把这个寄存器声明在模块的端口上,那么端口数有一千多个,如果模块单独后仿真,哪有这么多引脚的器件与之对应呀?
各位大侠都是如何处理这种情况的?
回复

使用道具 举报

1

主题

10

帖子

25

积分

新手上路

Rank: 1

积分
25
沙发
 楼主| 发表于 2013-1-1 11:23 AM | 只看该作者
要和几个模块连接,要是只和一个就两个模块和为一个,要是和很多,就看看能不能再拆分                                                                                                                                                                       
回复 支持 反对

使用道具 举报

0

主题

5

帖子

14

积分

新手上路

Rank: 1

积分
14
板凳
发表于 2013-1-1 01:26 PM | 只看该作者
因为这个总线模块是根据地址的不同,把数据MUX到不同的寄存器上,如果再分模块,不知综合后的MUX是在一个MUX上,还是多个并行的?                                                                                                                                                                       
回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

快速回复 返回顶部 返回列表